Languages

CommunityCategory: XMODELIs XMODEL a Fast SPICE?

XMODEL

Is XMODEL a Fast SPICE?

SA Support Team Staff 2021-01-31

We are looking for a SPICE simulator that can speed up our ADC and PLL simulations. We've tried AFS and FineSim but they were not fast enough for top-level simulations, and definitely not enough for Monte-Carlo and post-layout simulations. Can XMODEL provide faster speeds than these?

1 Answers
Best Answer
SA Support Team Staff 2021-01-31

Thank you for your interests in XMODEL. XMODEL is a plug-in engine that enables analog/mixed-signal simulation in SystemVerilog. This allows mixed-signal ICs to be verified in a single simulation platform, SystemVerilog, without using SPICE or AMS co-simulation, which tends to be slow and break the established digital verification flows, such as UVM.

If you are looking for a fast-running SPICE simulator, I am afraid that XMODEL is not it. XMODEL is not a SPICE-compatible simulator. XMODEL runs simulations in SystemVerilog and because of that, it can only perform time-domain, transient simulations, and cannot natively perform frequency-domain or small-signal analyses like SPICE. XMODEL cannot directly read in SPICE netlists as-is or understand the SPICE commands and options as-is -- they will need to be translated in SystemVerilog. If you are simply looking for a fast SPICE, you may find these differences unpleasing.

While XMODEL does offer a significant speed-up compared to SPICE, much of that speed-up comes from the fact that XMODEL uses simplified device models and higher-abstraction models. Taking a PLL for example, you can achieve 20~30x speed-up just by modeling your VCO as a functional model, producing a clock signal whose frequency varies with the input voltage. MODELZEN can extract both a circuit-level model and functional model from your VCO automatically. Another part of the XMODEL's speed-up is contributed by its unique event-driven algorithm, which makes XMODEL significantly faster than Real-Number Verilog (RNV). To learn more, watch this video.

XMODEL is ideal for people who must include analog circuits in their SystemVerilog simulations in order to verify the functionality of the entire mixed-signal system. For example, many people find XMODEL attractive especially when verifying large mixed-signal feedback loops, such as equalizer adaptation loops in wireline transceivers, digital calibration/compensation loops in data converters, digitally-controlled phase-locked loops (PLLs) and DC-DC converters, etc.

XMODEL

XMODEL은 빠른 SPICE 시뮬레이터인가요?

SA Support Team Staff 2021-01-31

우리 ADC와 PLL 시뮬레이션 속도를 더 빠르게 수행할 수 있는 SPICE 시뮬레이터를 찾고 있습니다. AFS와 FineSim을 써보았지만, 탑레벨 시뮬레이션을 수행하기에는 충분히 빠르지 않았고, Monte-Carlo나 포스트-레이아웃 시뮬레이션을 하기에는 매우 부족했습니다. XMODEL은 이보다 더 빠른 속도를 제공하나요?

1 Answers
Best Answer
SA Support Team Staff 2021-01-31

Thank you for your interests in XMODEL. XMODEL is a plug-in engine that enables analog/mixed-signal simulation in SystemVerilog. This allows mixed-signal ICs to be verified in a single simulation platform, SystemVerilog, without using SPICE or AMS co-simulation, which tends to be slow and break the established digital verification flows, such as UVM.

If you are looking for a fast-running SPICE simulator, I am afraid that XMODEL is not it. XMODEL is not a SPICE-compatible simulator. XMODEL runs simulations in SystemVerilog and because of that, it can only perform time-domain, transient simulations, and cannot natively perform frequency-domain or small-signal analyses like SPICE. XMODEL cannot directly read in SPICE netlists as-is or understand the SPICE commands and options as-is -- they will need to be translated in SystemVerilog. If you are simply looking for a fast SPICE, you may find these differences unpleasing.

While XMODEL does offer a significant speed-up compared to SPICE, much of that speed-up comes from the fact that XMODEL uses simplified device models and higher-abstraction models. Taking a PLL for example, you can achieve 20~30x speed-up just by modeling your VCO as a functional model, producing a clock signal whose frequency varies with the input voltage. MODELZEN can extract both a circuit-level model and functional model from your VCO automatically. Another part of the XMODEL's speed-up is contributed by its unique event-driven algorithm, which makes XMODEL significantly faster than Real-Number Verilog (RNV). To learn more, watch this video.

XMODEL is ideal for people who must include analog circuits in their SystemVerilog simulations in order to verify the functionality of the entire mixed-signal system. For example, many people find XMODEL attractive especially when verifying large mixed-signal feedback loops, such as equalizer adaptation loops in wireline transceivers, digital calibration/compensation loops in data converters, digitally-controlled phase-locked loops (PLLs) and DC-DC converters, etc.